Skip to content

Commit

Permalink
Updated bitstreams to latest version of video core.
Browse files Browse the repository at this point in the history
  • Loading branch information
zwenergy committed Sep 3, 2023
1 parent a0d6920 commit 7b44c3f
Show file tree
Hide file tree
Showing 6 changed files with 5 additions and 5 deletions.
Binary file modified bitstream/default_1080p.bit
Binary file not shown.
Binary file modified bitstream/default_480p.bit
Binary file not shown.
Binary file modified bitstream/default_720p.bit
Binary file not shown.
4 changes: 2 additions & 2 deletions hdl/definePackage.sv
Original file line number Diff line number Diff line change
Expand Up @@ -2,8 +2,8 @@
//-- Title: Define Package
//-- Author: zwenergy
//-----------------------------------------------------------------------
`define RES0_1080P
`define SCALE6
`define RES0_480P
`define SCALE3

package definePackage;
localparam AUDIO_BIT_WIDTH = 16;
Expand Down
4 changes: 2 additions & 2 deletions hdl/osd.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -68,8 +68,8 @@ type tMenuFrame is array( 0 to MENU_HEIGHTFIELDS - 1 ) of tLine;
signal mainMenu : tMenuFrame := (
-- One empty line
( 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00 ),
-- GBAHD v1.3I
( 00, 00, 00, 00, 00, 00, 00, 00, 07, 02, 01, 08, 04, 00, 22, 27, 36, 29, 09, 00, 00, 00, 00, 00, 00, 00, 00 ),
-- GBAHD v1.3J
( 00, 00, 00, 00, 00, 00, 00, 00, 07, 02, 01, 08, 04, 00, 22, 27, 36, 29, 10, 00, 00, 00, 00, 00, 00, 00, 00 ),
-- One empty line
( 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00, 00 ),
-- PXL GRID
Expand Down
2 changes: 1 addition & 1 deletion hdl/pins.xdc
Original file line number Diff line number Diff line change
Expand Up @@ -151,4 +151,4 @@ create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]
set_false_path -from [get_clocks pxlClkInt] -to [get_clocks clk]

# Set USR_ACCESS register.
set_property BITSTREAM.CONFIG.USR_ACCESS 0x312E3349 [current_design]
set_property BITSTREAM.CONFIG.USR_ACCESS 0x312E334A [current_design]

0 comments on commit 7b44c3f

Please sign in to comment.