Skip to content

Commit

Permalink
Add zachjs-sv2v package
Browse files Browse the repository at this point in the history
The recipe is based on 'SymbiFlow/conda-packages' one.
  • Loading branch information
tjurtsch committed Oct 28, 2020
1 parent b8a659c commit ad201c4
Show file tree
Hide file tree
Showing 4 changed files with 47 additions and 0 deletions.
4 changes: 4 additions & 0 deletions .travis.yml
Original file line number Diff line number Diff line change
Expand Up @@ -64,6 +64,10 @@ jobs:
os: windows
env:
- PACKAGE=renode
- stage: "No dependencies"
os: linux
env:
- PACKAGE=zachjs-sv2v

# Move packages from the current label to main
- stage: "Main label upload"
Expand Down
7 changes: 7 additions & 0 deletions zachjs-sv2v/build.sh
Original file line number Diff line number Diff line change
@@ -0,0 +1,7 @@
#! /bin/bash

set -e
set -x

make
install -D bin/sv2v $PREFIX/bin/zachjs-sv2v
2 changes: 2 additions & 0 deletions zachjs-sv2v/condarc
Original file line number Diff line number Diff line change
@@ -0,0 +1,2 @@
channels:
- conda-forge
34 changes: 34 additions & 0 deletions zachjs-sv2v/meta.yaml
Original file line number Diff line number Diff line change
@@ -0,0 +1,34 @@
{% set version = '%s_%04i_%s'|format(GIT_DESCRIBE_TAG|replace('v','') or '0.X', GIT_DESCRIBE_NUMBER|int, GIT_DESCRIBE_HASH or 'gUNKNOWN') %}

package:
name: zachjs-sv2v
version: {{ version }}

source:
git_url: https://github.com/zachjs/sv2v.git

build:
# number: 201803050325
number: {{ environ.get('DATE_NUM') }}
# string: 20180305_0325
string: {{ environ.get('DATE_STR') }}
script_env:
- CI
- TRAVIS

requirements:
build:
- stack
- gmp

test:
commands:
- zachjs-sv2v --help

about:
home: https://github.com/zachjs/sv2v
license: BSD
license_file:
- LICENSE
- NOTICE
summary: 'sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.'

0 comments on commit ad201c4

Please sign in to comment.