Skip to content

building 32bit risc-v core and Machine Learning for Branch Prediction

Notifications You must be signed in to change notification settings

GyeongHoKim/riscv_core

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

42 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

RISC-V CPU

32 bit RISC-V Core

Overview

Our own RISC-V CPU RTL design.

RISCVcore

Directories

Name Contents
RISCV FPGA codes for actual working
algorithm MergeSort assembly & Instructions for this cpu
testingModules Testing Each 5 stage pipeline modules
BPML Machine Learning for Dynamic Branch Prediction
img Images for README

References

How to simulate Each Verilog Modules

if your os is windows, then install Xilinx ISE Design Suite. However, Xilinx is not available in Mac.

installation for Mac

to install icarus-verilog,

brew install icarus-verilog

to install gtkwave, you just google "gtkwave" and download it anyway.

icarus-verilog

for compile verilog files,

iverilog -o <output file name> <module.v> <tb_module.v>

you should add below codes in your testbench to make vcd files.

initial begin
	$dumpfile("fileName.vcd");
	$dumpvars(0,ModuleNameOfTestBench);
end

and then, you have to make waveform file(.vcd file).

vvp <output file name you made above>

gtkwave

just double click on your waveform file(.vcd file) you made above.

About

building 32bit risc-v core and Machine Learning for Branch Prediction

Topics

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published