diff --git a/.travis.yml b/.travis.yml index a56ee8c..4b05cdb 100644 --- a/.travis.yml +++ b/.travis.yml @@ -4,6 +4,10 @@ addons: homebrew: packages: update: false + apt: + update: true + packages: + - build-essential stages: - name: "No dependencies" # Doesn't depend on anything apart from upstream packages @@ -70,6 +74,10 @@ jobs: os: linux env: - PACKAGE=capnproto + - stage: "No dependencies" + os: linux + env: + - PACKAGE=zachjs-sv2v - stage: "Has first level dependencies" os: linux diff --git a/zachjs-sv2v/build.sh b/zachjs-sv2v/build.sh new file mode 100644 index 0000000..c138958 --- /dev/null +++ b/zachjs-sv2v/build.sh @@ -0,0 +1,8 @@ +#! /bin/bash + +set -x +set -e + +make +install -D bin/sv2v $PREFIX/bin/zachjs-sv2v + diff --git a/zachjs-sv2v/condarc b/zachjs-sv2v/condarc new file mode 100644 index 0000000..4282551 --- /dev/null +++ b/zachjs-sv2v/condarc @@ -0,0 +1,2 @@ +channels: + - conda-forge diff --git a/zachjs-sv2v/meta.yaml b/zachjs-sv2v/meta.yaml new file mode 100644 index 0000000..58a51bf --- /dev/null +++ b/zachjs-sv2v/meta.yaml @@ -0,0 +1,33 @@ +{% set version = '%s_%04i_%s'|format(GIT_DESCRIBE_TAG|replace('v','') or '0.X', GIT_DESCRIBE_NUMBER|int, GIT_DESCRIBE_HASH or 'gUNKNOWN') %} + +package: + name: zachjs-sv2v + version: {{ version }} + +source: + git_url: https://github.com/zachjs/sv2v.git + +build: + # number: 201803050325 + number: {{ environ.get('DATE_NUM') }} + # string: 20180305_0325 + string: {{ environ.get('DATE_STR') }} + script_env: + - CI + - TRAVIS + +requirements: + build: + - stack<2.5.1 + +test: + commands: + - zachjs-sv2v --help + +about: + home: https://github.com/zachjs/sv2v + license: BSD + license_file: + - LICENSE + - NOTICE + summary: 'sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.'